Errores de Generación de Código Aparecen Cuando Utilizo Variables Locales en un Ciclo Temporizado de Una Sola Iteración



Software Primario:
Versión de Software Primario: 8.5.1
Versión de Software Primario Corregido: N/A
Software Secundario: N/A

Problema:
Tengo un VI de LabVIEW FPGA en el que estoy utilizando variables locales dentro de un Ciclo Temporizado de una sola iteración ("Single-Cycle Timed Loop"). Cuando trato de compilar el VI obtengo el siguiente error.



¿Por qué obtengo este error y que puedo hacer para evitar que siga apareciendo?


Solución:
Este error ocurre debido a la forma en la que está implementado el arbitraje para variables locales en el FPGA. El arbitraje para variables locales está configurado como Arbitrate if Multiple Requestors OnlyEste modo de arbitraje, tal como lo señala el error, solamente soporta un solicitante dentro de un Ciclo Temporizado de una sola iteración.

Puesto que no es posible cambiar el método de arbitraje para variables locales, la única forma de prevenir que suceda este error es utilizando una sola variable local dentro del Ciclo Temporizado de una sola iteración o simplemente no utilizar variables locales y reemplazarlas por registros de desplazamiento.

Ligas Relacionadas:

Archivos Adjuntos:





Día del Reporte: 06/22/2009
Última Actualización: 08/31/2009
Identificación del Documento: 4YLG2QEQ